Techinside Google News
Techinside Google News

Intel yeni buluttan uca teknolojilerini duyurdu

Intel dün gerçekleştirdiği Intel Vision açılış etkinliğinde; silikon, yazılım ve hizmetlerdeki ilerlemeleri duyurdu. İşte yeni teknolojiler!

Intel dün gerçekleştirdiği Intel Vision açılış etkinliğinde; silikon, yazılım ve hizmetlerdeki ilerlemeleri duyurdu ve günümüzde ve gelecekte müşteriler için işletme değerini ortaya çıkarmak amacıyla teknolojileri ve ekosistemi nasıl bir araya getirdiğini gösterdi.

Daha iyi iş sonuçları ve içgörüleri, daha düşük toplam mülkiyet maliyeti, daha kısa piyasaya sürüm ve değer elde etme süresi ve pozitif küresel etki, gerçek dünyadaki örnekler arasında öne çıkan faydalar arasında yer alıyor.

- Advertisement -

Intel CEO’su Pat Gelsinger Hayatımız boyunca gördüğümüz en dinamik küresel piyasa ile karşı karşıyayız. Bugün işletmeler, karmaşık ve birbiriyle bağlantılı zorluklarla karşılaşıyor ve başarıları, en son teknolojileri ve altyapıları hızla benimseyebilmelerine ve azami seviyede kullanabilmelerine bağlı” diye belirtti ve şöyle devam etti;

“Bugün müşterilerimiz ve ortaklarımızın bu karmaşık ortamda dijital dönüşümü hızlandırmalarına yardımcı olmak için ölçeğimizi, kaynaklarımızı ve silikonun sihrini, yazılımlarımız ve hizmetlerimizi nasıl kullandığımızı paylaşmaktan heyecan duyuyoruz.”

Intel’den Yeni Silikon, Yazılımlar ve Hizmetler

  • Habana Gaudi2 sayesinde derin öğrenme işlemede büyük bir sıçrama: Gaudi işlemcileri, en yüksek ve derin öğrenme yapay zekâ eğitimi için kullanılıyor ve müşterilerin daha az parayla daha fazla eğitmesine olanak sağlamalarıyla tanınıyor. Bugün piyasaya sürülen Habana Gaudi2 ve Greco yapay zekâ hızlandırıcıları, farklı mimarileri kolaylıkla destekleyerek son kullanıcıların işlemcilerin performansı ve verimliliğinden yararlanmasını sağlayan tek bir yazılım yığını olan Synapse AI’yı kullanıyor. Ayrıca Gaudi2, kilit vizyon ve NLP iş yükleri için şu anda piyasada mevcut olan A100 tabanlı ürünlere kıyasla iki kat daha iyi yapay zekâ eğitimi performansı sunuyor

 

  • 4. Nesil Intel Xeon Ölçeklenebilir, modern performans için yeni bir standart belirliyor: Intel, bugün 4. Nesil Intel Xeon Ölçeklenebilir işlemcilerin (kod adı Sapphire Rapids) ilk SKU’larını gönderiyor. Bunlar, şimdilik birçok SKU’nun ilki ve dolandırıcılık sebebiyle daha fazlası yılın geri kalanında mevcut olacak. AI iş yükleri için yazılım ve donanım optimizasyonları aracılığıyla önceki nesle göre 30 kata kadar performans sağlayan yeni entegre hızlandırıcılarla donatılan 4. Nesil Intel Xeon Ölçeklenebilir işlemciler olağanüstü bir genel performans sunuyor.

 

  • Project Apollo, yapay zekâyı işletmeler için daha erişilebilir hale getirdi: Accenture ile işbirliği yapan Intel, yapay zekâyı şirket içinde, bulut ve uç ortamlarında müşteriler için daha erişilebilir hale getirmek için optimum şekilde tasarlanan 30’dan fazla açık kaynaklı yapay zekâ çözümü kitini işletmelere sunacak bir program olan Project Apollo’yu başlattı. Project Apollo kitlerinin ilki, önümüzdeki aylarda piyasaya sürülecek. 
  • IPU’larla geleceğin veri merkezi için hazırlık: Intel, 2026 yılına kadar uzanan ve yeni FPGA+ Intel mimarisi platformları (kod adı: Hot Springs Canyon) ve Mount Morgan (MMG) ASIC ile yeni nesil 800GB ürünlerini içeren IPU yol haritasını açıkladı. IPU’lar, işletmelerin görevleri daha hızlı tamamlamasına ve sorunları daha hızlı çözmesine olanak tanıyan ve altyapı bilgi işlem ihtiyaçları için daha güçlü hızlandırma sunan özel ürünlerdir. 
  • Bulutta medya kod dönüştürme, görsel grafikler ve çıkarım için tek GPU çözümü: Intel’in Arctic Sound (ATS-M) kod adlı veri merkezi GPU’su, endüstrinin AV1 donanım kodlayıcısına sahip ilk ayrık GPU. ATS-M, saniyede 150 trilyon işlemi (TOPS) hedefleyen üstün kod dönüştürme kalitesi ve performansına sahip çok yönlü bir GPU. 

    Geliştiriciler, oneAPI sayesinde açık bir yazılım yığınını kullanarak ATS-M için kolaylıkla tasarım yapabilecekler. ATS-M, iki form faktöründe ve Dell, Supermicro, Cisco, Inspur, H3C ve HPE gibi ortakların 15’ten fazla sistem tasarımında bulunacak. 2022 yılının üçüncü çeyreğinde piyasaya sürülecek. 

  • Hibrit çalışma için yeni 12. Nesil Intel Core HX işlemciler: Şirket, yeni 12. Nesil Intel Core HX işlemcileri piyasaya sürülmesiyle 12. Nesil ailesini tamamladı. Hibrit bir ortamda azami performans ve esnekliğe ihtiyaç duyan profesyoneller için geliştirilen Intel Core i9-12900HX, 16’ya kadar çekirdek ve 5 GHz’e kadar saat hızlarıyla dünyanın en iyi mobil iş istasyonu platformudur.

Kullanıcıların istedikleri anda ve istedikleri yerde bilgi işlem kaynaklarından yararlanma esnekliği de istediğini kabul eden Intel, yazılım altyapısı inisiyatifi Project Endgame’in ilk konsept tanıtımını yaptı. Uygulamalar, her zaman kullanılabilir, düşük gecikme süreli ve tutarlı bir sürekli bilgi işlem hizmeti sunmak için cihazların ağdaki diğer cihazlardan bilgi işlem kaynaklarını bir araya getirmesine olanak tanıyan bu yazılım altyapısı katmanından faydalanabilir.

Örneğin tek bir cihazda çalışan zorlu bir GPU iş yükü, kullanıcının deneyimini iyileştirmek için daha güçlü bir makinenin ek grafik işleme gücünü algılayabilir ve bundan yararlanabilir. Project Endgame, şu anda geliştirme aşamasında ve Intel, teknolojinin ilk kilometre taşlarının beta testine bu yıl başlayacak.

Intel’in ekosistem genelinde hizmet modellerini etkinleştirmek için attığı adımlara ilişkin ilk açıklamalar da bugünkü duyurular arasındaydı. Tanıtılan Intel On Demand hizmeti, işletmelerin değişen iş yüklerinin ihtiyaçlarını karşılamasına, ürün sürdürülebilirliğini sağlamasına ve verilere yakın sistemleri ölçeklendirme fırsatlarından yararlanmasına yardımcı oluyor.

Şu anda seçili iş ortakları HPE GreenLake, Lenovo TruScale and PhoenixNAP’s Bare Metal Cloud üzerinden sunan Intel, müşterilerin altyapılarını iş ihtiyaçları ve gereksinimlerine göre düzenlemesini sağlayan yeni bir tüketim işi modeli geliştirdi. 

Siz bu konu hakkında ne düşünüyorsunuz? Görüşlerinizi yorumlarda paylaşın!

SON VİDEO

TÜMÜ
00:07:03

Masterpass 2023’te rekor kırdı!

CEVAP VER

Lütfen yorumunuzu giriniz!
Lütfen isminizi buraya giriniz

İlginizi çekebilir